Skip to content

Commit

Permalink
Update test_fld.cpp
Browse files Browse the repository at this point in the history
  • Loading branch information
sturkmen72 committed Aug 28, 2024
1 parent b4096d4 commit 3d06bba
Showing 1 changed file with 2 additions and 1 deletion.
3 changes: 2 additions & 1 deletion modules/ximgproc/test/test_fld.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -340,6 +340,7 @@ TEST_F(ximgproc_ED, ManySmallCirclesColor)
EXPECT_EQ(detector->getSegments().size(), segments_size);
EXPECT_GE(lines.size(), lines_size);
EXPECT_LE(lines.size(), lines_size + 2);
EXPECT_EQ(ellipses.size(), ellipses_size);
EXPECT_GE(ellipses.size(), ellipses_size);
EXPECT_LE(ellipses.size(), ellipses_size + 2);
}
}} // namespace

0 comments on commit 3d06bba

Please sign in to comment.